Published in

Emerging Lithographic Technologies XII

DOI: 10.1117/12.772908

Links

Tools

Export citation

Search in Google Scholar

Dual damascene BEOL processing using multilevel step and flash imprint lithography - art. no. 69210C

This paper is available in a repository.
This paper is available in a repository.

Full text: Download

Red circle
Preprint: archiving forbidden
Green circle
Postprint: archiving allowed
Green circle
Published version: archiving allowed
Data provided by SHERPA/RoMEO

Abstract

Step and Flash Imprint Lithography (S-FIL®) in conjunction with Sacrificial Imprint Materials (SIM) is capable of simultaneously patterning two levels of interconnect structures, which provides a low cost BEOL process. This paper describes the integration of SFIL into an industry standard Cu/low k dual damascene process that is being practiced in the ATDF at Sematech in Austin. The pattern transferring RIE process is the most critical step and was extensively explored in this study. In addition to successful process development, the results provide useful insight into the optimal design of multilevel templates which must take into account the characteristics of both the imaging material and the dielectric layer. The template used in this study incorporates both the via and trench levels of an M2 (Metal 2) test vehicle that incorporates via chains with varying via dimensions, Kelvin test structures, serpentines, etc. The smallest vias on the template are 120nm vias with an aspect ratio of 2.0 and the smallest dense lines are 125nm/175nm with an aspect ratio of 2.9. Two inter-level dielectrics (ILD), Coral® and Black Diamond® were studied. No trench etch stop was incorporated in the ILD film stack. A multi-step, in-situ reactive ion etching (RIE) scheme was developed that achieves faithful pattern transfer from the sacrificial imprint material into the underlying low k ILD with surprisingly wide process latitude. This multi-step scheme includes the following etch steps: a residual layer open, a via etch, a trench descum, a trench etch, and an SIM removal ash. Among these steps, the trench etch was found to be the most challenging to develop and it holds the key to producing high aspect ratio dual damascene features. An etching chemistry based on two fluorocarbon gases, CF 4 and C 4 F 8 , was found to be very effective in delivering the desired etch profiles with optimal sidewall angle, minimal facet formation. A set of statistically designed experiments (DOE) based on this chemistry was conducted to assist the trench etch process development. The optimized etch process can be exploited to provide substantial size reduction and/or increased aspect ratio relative to the template. In this way structures with final critical dimensions of 95nm in vias with aspect ratio of 3.0 and 60nm/240nm in dense lines with aspect ratio of 4.3 were demonstrated with wide process latitude. This enables manufacturing of the template at larger dimensions, which simplifies both fabrication and inspection.